yowasp-nextpnr-ice40-u4k


Nameyowasp-nextpnr-ice40-u4k JSON
Version 9999 PyPI version JSON
download
home_page
Summarynextpnr-ice40 FPGA place and route tool
upload_time2023-02-06 13:08:53
maintainer
docs_urlNone
authorwhitequark
requires_python~=3.5
licenseISC
keywords
VCS
bugtrack_url
requirements No requirements were recorded.
Travis-CI No Travis.
coveralls test coverage No coveralls.
            Transitional dummy package.

            

Raw data

            {
    "_id": null,
    "home_page": "",
    "name": "yowasp-nextpnr-ice40-u4k",
    "maintainer": "",
    "docs_url": null,
    "requires_python": "~=3.5",
    "maintainer_email": "",
    "keywords": "",
    "author": "whitequark",
    "author_email": "whitequark@whitequark.org",
    "download_url": "",
    "platform": null,
    "description": "Transitional dummy package.\n",
    "bugtrack_url": null,
    "license": "ISC",
    "summary": "nextpnr-ice40 FPGA place and route tool",
    "version": "9999",
    "split_keywords": [],
    "urls": [
        {
            "comment_text": "",
            "digests": {
                "blake2b_256": "0a254bc0335c5b5312378cceade614b59942e4b311271f5c71ebc82170b36af6",
                "md5": "1f37be6bf97b55a5b48634392a150a95",
                "sha256": "d0d683b32554c6d65b83b141b23c650d2b29ec922827c5a9323b8b6762e797ce"
            },
            "downloads": -1,
            "filename": "yowasp_nextpnr_ice40_u4k-9999-py3-none-any.whl",
            "has_sig": false,
            "md5_digest": "1f37be6bf97b55a5b48634392a150a95",
            "packagetype": "bdist_wheel",
            "python_version": "py3",
            "requires_python": "~=3.5",
            "size": 1863,
            "upload_time": "2023-02-06T13:08:53",
            "upload_time_iso_8601": "2023-02-06T13:08:53.210883Z",
            "url": "https://files.pythonhosted.org/packages/0a/25/4bc0335c5b5312378cceade614b59942e4b311271f5c71ebc82170b36af6/yowasp_nextpnr_ice40_u4k-9999-py3-none-any.whl",
            "yanked": false,
            "yanked_reason": null
        }
    ],
    "upload_time": "2023-02-06 13:08:53",
    "github": false,
    "gitlab": false,
    "bitbucket": false,
    "lcname": "yowasp-nextpnr-ice40-u4k"
}
        
Elapsed time: 0.07828s