pySystemRDLModel


NamepySystemRDLModel JSON
Version 0.2.2 PyPI version JSON
download
home_pagehttps://GitHub.com/edaa-org/pySystemRDLModel
SummaryAn abstract SystemRDL language model.
upload_time2023-08-15 22:30:08
maintainer
docs_urlNone
authorPatrick Lehmann
requires_python>=3.7
licenseApache-2.0
keywords python3 systemrdl language model abstract
VCS
bugtrack_url
requirements No requirements were recorded.
Travis-CI No Travis.
coveralls test coverage No coveralls.
            <p align="center">
  <a title="edaa-org.github.io/pySystemRDLModel" href="https://edaa-org.github.io/pySystemRDLModel"><img height="80px" src="doc/_static/logo.svg"/></a>
</p>

[![Sourcecode on GitHub](https://img.shields.io/badge/pyEDAA-pySystemRDLModel-29b6f6.svg?longCache=true&style=flat-square&logo=GitHub&labelColor=0277bd)](https://GitHub.com/edaa-org/pySystemRDLModel)
[![Sourcecode License](https://img.shields.io/pypi/l/pySystemRDLModel?longCache=true&style=flat-square&logo=Apache&label=code)](LICENSE.md)
[![Documentation](https://img.shields.io/website?longCache=true&style=flat-square&label=edaa-org.github.io%2FpySystemRDLModel&logo=GitHub&logoColor=fff&up_color=blueviolet&up_message=Read%20now%20%E2%9E%9A&url=https%3A%2F%2Fedaa-org.github.io%2FpySystemRDLModel%2Findex.html)](https://edaa-org.github.io/pySystemRDLModel/)
[![Documentation License](https://img.shields.io/badge/doc-CC--BY%204.0-green?longCache=true&style=flat-square&logo=CreativeCommons&logoColor=fff)](LICENSE.md)
[![Gitter](https://img.shields.io/badge/chat-on%20gitter-4db797.svg?longCache=true&style=flat-square&logo=gitter&logoColor=e8ecef)](https://gitter.im/hdl/community)  
[![PyPI](https://img.shields.io/pypi/v/pySystemRDLModel?longCache=true&style=flat-square&logo=PyPI&logoColor=FBE072)](https://pypi.org/project/pySystemRDLModel/)
![PyPI - Status](https://img.shields.io/pypi/status/pySystemRDLModel?longCache=true&style=flat-square&logo=PyPI&logoColor=FBE072)
![PyPI - Python Version](https://img.shields.io/pypi/pyversions/pySystemRDLModel?longCache=true&style=flat-square&logo=PyPI&logoColor=FBE072)  
[![GitHub Workflow - Build and Test Status](https://img.shields.io/github/workflow/status/edaa-org/pySystemRDLModel/Pipeline/main?longCache=true&style=flat-square&label=Build%20and%20Test&logo=GitHub%20Actions&logoColor=FFFFFF)](https://GitHub.com/edaa-org/pySystemRDLModel/actions/workflows/Pipeline.yml)
[![Libraries.io status for latest release](https://img.shields.io/librariesio/release/pypi/pySystemRDLModel?longCache=true&style=flat-square&logo=Libraries.io&logoColor=fff)](https://libraries.io/github/edaa-org/pySystemRDLModel)
[![Codacy - Quality](https://img.shields.io/codacy/grade/39d312bf98244961975559f141c3e000?longCache=true&style=flat-square&logo=Codacy)](https://app.codacy.com/gh/edaa-org/pySystemRDLModel)
[![Codacy - Coverage](https://img.shields.io/codacy/coverage/39d312bf98244961975559f141c3e000?longCache=true&style=flat-square&logo=Codacy)](https://app.codacy.com/gh/edaa-org/pySystemRDLModel)
[![Codecov - Branch Coverage](https://img.shields.io/codecov/c/github/edaa-org/pySystemRDLModel?longCache=true&style=flat-square&logo=Codecov)](https://codecov.io/gh/edaa-org/pySystemRDLModel)

<!--
[![Dependent repos (via libraries.io)](https://img.shields.io/librariesio/dependent-repos/pypi/pySystemRDLModel?longCache=true&style=flat-square&logo=GitHub)](https://GitHub.com/edaa-org/pySystemRDLModel/network/dependents)
[![Requires.io](https://img.shields.io/requires/github/edaa-org/pySystemRDLModel?longCache=true&style=flat-square)](https://requires.io/github/EDAA-ORG/pySystemRDLModel/requirements/?branch=main)
[![Libraries.io SourceRank](https://img.shields.io/librariesio/sourcerank/pypi/pySystemRDLModel?longCache=true&style=flat-square)](https://libraries.io/github/edaa-org/pySystemRDLModel/sourcerank)
-->

An abstract language model of SystemRDL written in Python.


# Main Goals

This package provides a unified abstract language model for SystemRDL.
Projects reading from source files can derive own classes and implement additional logic to create a concrete language
model for their tools.

Projects consuming pre-processed SystemRDL data (parsed, analyzed or elaborated) can build higher level features
and services on such a model, while supporting multiple frontends.


# Use Cases

## pySystemRDLModel Generators

*TBD*

## pySystemRDLModel Consumers

* [Electronic Design Automation Abstraction (EDA²)](https://edaa-org.github.io/)


# Examples

## List all Modules with Parameters and Ports

*TBD*

# Contributors

* [Patrick Lehmann](https://GitHub.com/Paebbels) (Maintainer)
* [and more...](https://GitHub.com/edaa-org/pySystemRDLModel/graphs/contributors)

# License

This Python package (source code) licensed under [Apache License 2.0](LICENSE.md).  
The accompanying documentation is licensed under [Creative Commons - Attribution 4.0 (CC-BY 4.0)](doc/Doc-License.rst).

-------------------------
SPDX-License-Identifier: Apache-2.0

            

Raw data

            {
    "_id": null,
    "home_page": "https://GitHub.com/edaa-org/pySystemRDLModel",
    "name": "pySystemRDLModel",
    "maintainer": "",
    "docs_url": null,
    "requires_python": ">=3.7",
    "maintainer_email": "",
    "keywords": "Python3 SystemRDL Language Model Abstract",
    "author": "Patrick Lehmann",
    "author_email": "Paebbels@gmail.com",
    "download_url": "https://files.pythonhosted.org/packages/07/c8/502ef7743926f1c1eac18717c42f9b50f475b539f13389e4f1065dba49f7/pySystemRDLModel-0.2.2.tar.gz",
    "platform": null,
    "description": "<p align=\"center\">\n  <a title=\"edaa-org.github.io/pySystemRDLModel\" href=\"https://edaa-org.github.io/pySystemRDLModel\"><img height=\"80px\" src=\"doc/_static/logo.svg\"/></a>\n</p>\n\n[![Sourcecode on GitHub](https://img.shields.io/badge/pyEDAA-pySystemRDLModel-29b6f6.svg?longCache=true&style=flat-square&logo=GitHub&labelColor=0277bd)](https://GitHub.com/edaa-org/pySystemRDLModel)\n[![Sourcecode License](https://img.shields.io/pypi/l/pySystemRDLModel?longCache=true&style=flat-square&logo=Apache&label=code)](LICENSE.md)\n[![Documentation](https://img.shields.io/website?longCache=true&style=flat-square&label=edaa-org.github.io%2FpySystemRDLModel&logo=GitHub&logoColor=fff&up_color=blueviolet&up_message=Read%20now%20%E2%9E%9A&url=https%3A%2F%2Fedaa-org.github.io%2FpySystemRDLModel%2Findex.html)](https://edaa-org.github.io/pySystemRDLModel/)\n[![Documentation License](https://img.shields.io/badge/doc-CC--BY%204.0-green?longCache=true&style=flat-square&logo=CreativeCommons&logoColor=fff)](LICENSE.md)\n[![Gitter](https://img.shields.io/badge/chat-on%20gitter-4db797.svg?longCache=true&style=flat-square&logo=gitter&logoColor=e8ecef)](https://gitter.im/hdl/community)  \n[![PyPI](https://img.shields.io/pypi/v/pySystemRDLModel?longCache=true&style=flat-square&logo=PyPI&logoColor=FBE072)](https://pypi.org/project/pySystemRDLModel/)\n![PyPI - Status](https://img.shields.io/pypi/status/pySystemRDLModel?longCache=true&style=flat-square&logo=PyPI&logoColor=FBE072)\n![PyPI - Python Version](https://img.shields.io/pypi/pyversions/pySystemRDLModel?longCache=true&style=flat-square&logo=PyPI&logoColor=FBE072)  \n[![GitHub Workflow - Build and Test Status](https://img.shields.io/github/workflow/status/edaa-org/pySystemRDLModel/Pipeline/main?longCache=true&style=flat-square&label=Build%20and%20Test&logo=GitHub%20Actions&logoColor=FFFFFF)](https://GitHub.com/edaa-org/pySystemRDLModel/actions/workflows/Pipeline.yml)\n[![Libraries.io status for latest release](https://img.shields.io/librariesio/release/pypi/pySystemRDLModel?longCache=true&style=flat-square&logo=Libraries.io&logoColor=fff)](https://libraries.io/github/edaa-org/pySystemRDLModel)\n[![Codacy - Quality](https://img.shields.io/codacy/grade/39d312bf98244961975559f141c3e000?longCache=true&style=flat-square&logo=Codacy)](https://app.codacy.com/gh/edaa-org/pySystemRDLModel)\n[![Codacy - Coverage](https://img.shields.io/codacy/coverage/39d312bf98244961975559f141c3e000?longCache=true&style=flat-square&logo=Codacy)](https://app.codacy.com/gh/edaa-org/pySystemRDLModel)\n[![Codecov - Branch Coverage](https://img.shields.io/codecov/c/github/edaa-org/pySystemRDLModel?longCache=true&style=flat-square&logo=Codecov)](https://codecov.io/gh/edaa-org/pySystemRDLModel)\n\n<!--\n[![Dependent repos (via libraries.io)](https://img.shields.io/librariesio/dependent-repos/pypi/pySystemRDLModel?longCache=true&style=flat-square&logo=GitHub)](https://GitHub.com/edaa-org/pySystemRDLModel/network/dependents)\n[![Requires.io](https://img.shields.io/requires/github/edaa-org/pySystemRDLModel?longCache=true&style=flat-square)](https://requires.io/github/EDAA-ORG/pySystemRDLModel/requirements/?branch=main)\n[![Libraries.io SourceRank](https://img.shields.io/librariesio/sourcerank/pypi/pySystemRDLModel?longCache=true&style=flat-square)](https://libraries.io/github/edaa-org/pySystemRDLModel/sourcerank)\n-->\n\nAn abstract language model of SystemRDL written in Python.\n\n\n# Main Goals\n\nThis package provides a unified abstract language model for SystemRDL.\nProjects reading from source files can derive own classes and implement additional logic to create a concrete language\nmodel for their tools.\n\nProjects consuming pre-processed SystemRDL data (parsed, analyzed or elaborated) can build higher level features\nand services on such a model, while supporting multiple frontends.\n\n\n# Use Cases\n\n## pySystemRDLModel Generators\n\n*TBD*\n\n## pySystemRDLModel Consumers\n\n* [Electronic Design Automation Abstraction (EDA\u00b2)](https://edaa-org.github.io/)\n\n\n# Examples\n\n## List all Modules with Parameters and Ports\n\n*TBD*\n\n# Contributors\n\n* [Patrick Lehmann](https://GitHub.com/Paebbels) (Maintainer)\n* [and more...](https://GitHub.com/edaa-org/pySystemRDLModel/graphs/contributors)\n\n# License\n\nThis Python package (source code) licensed under [Apache License 2.0](LICENSE.md).  \nThe accompanying documentation is licensed under [Creative Commons - Attribution 4.0 (CC-BY 4.0)](doc/Doc-License.rst).\n\n-------------------------\nSPDX-License-Identifier: Apache-2.0\n",
    "bugtrack_url": null,
    "license": "Apache-2.0",
    "summary": "An abstract SystemRDL language model.",
    "version": "0.2.2",
    "project_urls": {
        "Documentation": "https://edaa-org.GitHub.io/pySystemRDLModel",
        "Homepage": "https://GitHub.com/edaa-org/pySystemRDLModel",
        "Issue Tracker": "https://GitHub.com/edaa-org/pySystemRDLModel/issues",
        "Source Code": "https://GitHub.com/edaa-org/pySystemRDLModel"
    },
    "split_keywords": [
        "python3",
        "systemrdl",
        "language",
        "model",
        "abstract"
    ],
    "urls": [
        {
            "comment_text": "",
            "digests": {
                "blake2b_256": "5d4f637bd63c7bf8b068ecd3334410e0c8162083a8b4751fff8dddfdc49e2730",
                "md5": "38f719ef1f7f1227953f8e54a02df67c",
                "sha256": "8c7d9c5fcc501f9fbefc16b4933bc18266a4ef594d1a92849a91e3f20678be76"
            },
            "downloads": -1,
            "filename": "pySystemRDLModel-0.2.2-py3-none-any.whl",
            "has_sig": false,
            "md5_digest": "38f719ef1f7f1227953f8e54a02df67c",
            "packagetype": "bdist_wheel",
            "python_version": "py3",
            "requires_python": ">=3.7",
            "size": 8960,
            "upload_time": "2023-08-15T22:30:09",
            "upload_time_iso_8601": "2023-08-15T22:30:09.969198Z",
            "url": "https://files.pythonhosted.org/packages/5d/4f/637bd63c7bf8b068ecd3334410e0c8162083a8b4751fff8dddfdc49e2730/pySystemRDLModel-0.2.2-py3-none-any.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": "",
            "digests": {
                "blake2b_256": "07c8502ef7743926f1c1eac18717c42f9b50f475b539f13389e4f1065dba49f7",
                "md5": "5293443ec931a588e23d26ba1345810d",
                "sha256": "d9ed6d7c9064f52997a994532061e1d14b13b793dbb88f60b5c751204be4dafd"
            },
            "downloads": -1,
            "filename": "pySystemRDLModel-0.2.2.tar.gz",
            "has_sig": false,
            "md5_digest": "5293443ec931a588e23d26ba1345810d",
            "packagetype": "sdist",
            "python_version": "source",
            "requires_python": ">=3.7",
            "size": 9326,
            "upload_time": "2023-08-15T22:30:08",
            "upload_time_iso_8601": "2023-08-15T22:30:08.170132Z",
            "url": "https://files.pythonhosted.org/packages/07/c8/502ef7743926f1c1eac18717c42f9b50f475b539f13389e4f1065dba49f7/pySystemRDLModel-0.2.2.tar.gz",
            "yanked": false,
            "yanked_reason": null
        }
    ],
    "upload_time": "2023-08-15 22:30:08",
    "github": false,
    "gitlab": false,
    "bitbucket": false,
    "codeberg": false,
    "lcname": "pysystemrdlmodel"
}
        
Elapsed time: 0.10415s