pyslang


Namepyslang JSON
Version 9.0.0 PyPI version JSON
download
home_pageNone
SummaryPython bindings for slang, a library for compiling SystemVerilog
upload_time2025-07-31 12:18:48
maintainerNone
docs_urlNone
authorMike Popoloski
requires_pythonNone
licenseCopyright (c) 2015-2025 Michael Popoloski Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
keywords slang verilog systemverilog parsing compiler eda
VCS
bugtrack_url
requirements No requirements were recorded.
Travis-CI No Travis.
coveralls test coverage No coveralls.
            slang - SystemVerilog Language Services
=======================================
![](https://github.com/MikePopoloski/slang/workflows/CI%20Build/badge.svg)
[![codecov](https://codecov.io/gh/MikePopoloski/slang/branch/master/graph/badge.svg)](https://codecov.io/gh/MikePopoloski/slang)
[![PyPI](https://img.shields.io/pypi/v/pyslang.svg)](https://pypi.org/project/pyslang/)
[![License: MIT](https://img.shields.io/badge/License-MIT-yellow.svg)](https://github.com/MikePopoloski/slang/blob/master/LICENSE)

slang is a software library that provides various components for lexing, parsing, type checking, and elaborating SystemVerilog code. It comes with an executable tool that can compile and lint any SystemVerilog project, but it is also intended to be usable as a front end for synthesis tools, simulators, linters, code editors, and refactoring tools.

slang is the fastest and most compliant SystemVerilog frontend (according to the open source [chipsalliance test suite](https://github.com/chipsalliance/sv-tests)).

Full documentation is available on the website: https://sv-lang.com

### Features
-   Fully parse, analyze, and elaborate all SystemVerilog features - see [this page](https://sv-lang.com/language-support.html) for current status.
-   Be robust about compilation, no matter how broken the source text. This makes the compiler usable in editor highlighting and completion scenarios, where the code is likely to be broken because the user is still writing it.
-   The parse tree should round trip back to the original source, making it easy to write refactoring and code generation tools.
-   Provide great error messages, ala clang.
-   Be fast and robust in the face of production-scale projects.

### Use Cases
Some examples of things you can use slang for:
-   Very fast syntax checking and linting tool
-   Dumping the AST of your project to JSON
-   Source code introspection via included Python bindings
-   SystemVerilog code generation and refactoring
-   As the engine for an editor language server
-   As a fast and robust preprocessor that sits in front of downstream tools
-   As a frontend for a synthesis or simulation tool, by including slang as a library

### Getting Started

Instructions on building slang from source are [here](https://sv-lang.com/building.html). The tl;dr is:
```
git clone https://github.com/MikePopoloski/slang.git
cd slang
cmake -B build
cmake --build build -j
```

The slang binary can be run on your code right out of the box; check out the [user manual](https://sv-lang.com/user-manual.html) for more information about how it works.

If you're looking to use slang as a library, please read through the [developer guide](https://sv-lang.com/developer-guide.html).

### Try It Out

Experiment with parsing, type checking, and error detection live [on the web](https://sv-lang.com/explore/) (inspired by Matt Godbolt's excellent [Compiler Explorer](https://godbolt.org/)).

### Python Bindings

This project also includes Python bindings for the library, which can be installed via PyPI:
```
pip install pyslang
```
or, to update your installed version to the latest release:
```
pip install -U pyslang
```
or, to checkout and install a local build:
```
git clone https://github.com/MikePopoloski/slang.git
cd slang
pip install .
```

#### Example Python Usage

Given a 'test.sv' source file:
```sv
module memory(
    address,
    data_in,
    data_out,
    read_write,
    chip_en
  );

  input wire [7:0] address, data_in;
  output reg [7:0] data_out;
  input wire read_write, chip_en;

  reg [7:0] mem [0:255];

  always @ (address or data_in or read_write or chip_en)
    if (read_write == 1 && chip_en == 1) begin
      mem[address] = data_in;
  end

  always @ (read_write or chip_en or address)
    if (read_write == 0 && chip_en)
      data_out = mem[address];
    else
      data_out = 0;

endmodule
```

We can use slang to load the syntax tree and inspect it:
```py
import pyslang

tree = pyslang.SyntaxTree.fromFile('test.sv')
mod = tree.root.members[0]
print(mod.header.name.value)
print(mod.members[0].kind)
print(mod.members[1].header.dataType)
```

```
memory
SyntaxKind.PortDeclaration
reg [7:0]
```

We can also evaluate arbitrary SystemVerilog expressions:
```py
session = pyslang.ScriptSession()
session.eval("logic bit_arr [16] = '{0:1, 1:1, 2:1, default:0};")
result = session.eval("bit_arr.sum with ( int'(item) );")
print(result)
```

```
3
```

### Contact & Support

If you encounter a bug, have questions, or want to contribute, please get in touch by opening a GitHub issue or discussion thread.

Contributions are welcome, whether they be in the form of bug reports, comments, suggestions, documentation improvements, or full fledged new features via pull requests.

### License

slang is licensed under the MIT license:

>   Copyright (c) 2015-2025 Michael Popoloski
>
>   Permission is hereby granted, free of charge, to any person obtaining a copy
>   of this software and associated documentation files (the "Software"), to deal
>   in the Software without restriction, including without limitation the rights
>   to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
>   copies of the Software, and to permit persons to whom the Software is
>   furnished to do so, subject to the following conditions:
>
>   The above copyright notice and this permission notice shall be included in
>   all copies or substantial portions of the Software.
>
>   THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
>   IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
>   FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
>   AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
>   LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
>   OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
>   THE SOFTWARE.

            

Raw data

            {
    "_id": null,
    "home_page": null,
    "name": "pyslang",
    "maintainer": null,
    "docs_url": null,
    "requires_python": null,
    "maintainer_email": null,
    "keywords": "slang, verilog, systemverilog, parsing, compiler, eda",
    "author": "Mike Popoloski",
    "author_email": null,
    "download_url": "https://files.pythonhosted.org/packages/6e/2d/2ba247a801140425a5eeab806ee6a2b65bf0a8ccaff9205a69ba2fa7aea2/pyslang-9.0.0.tar.gz",
    "platform": null,
    "description": "slang - SystemVerilog Language Services\n=======================================\n![](https://github.com/MikePopoloski/slang/workflows/CI%20Build/badge.svg)\n[![codecov](https://codecov.io/gh/MikePopoloski/slang/branch/master/graph/badge.svg)](https://codecov.io/gh/MikePopoloski/slang)\n[![PyPI](https://img.shields.io/pypi/v/pyslang.svg)](https://pypi.org/project/pyslang/)\n[![License: MIT](https://img.shields.io/badge/License-MIT-yellow.svg)](https://github.com/MikePopoloski/slang/blob/master/LICENSE)\n\nslang is a software library that provides various components for lexing, parsing, type checking, and elaborating SystemVerilog code. It comes with an executable tool that can compile and lint any SystemVerilog project, but it is also intended to be usable as a front end for synthesis tools, simulators, linters, code editors, and refactoring tools.\n\nslang is the fastest and most compliant SystemVerilog frontend (according to the open source [chipsalliance test suite](https://github.com/chipsalliance/sv-tests)).\n\nFull documentation is available on the website: https://sv-lang.com\n\n### Features\n-   Fully parse, analyze, and elaborate all SystemVerilog features - see [this page](https://sv-lang.com/language-support.html) for current status.\n-   Be robust about compilation, no matter how broken the source text. This makes the compiler usable in editor highlighting and completion scenarios, where the code is likely to be broken because the user is still writing it.\n-   The parse tree should round trip back to the original source, making it easy to write refactoring and code generation tools.\n-   Provide great error messages, ala clang.\n-   Be fast and robust in the face of production-scale projects.\n\n### Use Cases\nSome examples of things you can use slang for:\n-   Very fast syntax checking and linting tool\n-   Dumping the AST of your project to JSON\n-   Source code introspection via included Python bindings\n-   SystemVerilog code generation and refactoring\n-   As the engine for an editor language server\n-   As a fast and robust preprocessor that sits in front of downstream tools\n-   As a frontend for a synthesis or simulation tool, by including slang as a library\n\n### Getting Started\n\nInstructions on building slang from source are [here](https://sv-lang.com/building.html). The tl;dr is:\n```\ngit clone https://github.com/MikePopoloski/slang.git\ncd slang\ncmake -B build\ncmake --build build -j\n```\n\nThe slang binary can be run on your code right out of the box; check out the [user manual](https://sv-lang.com/user-manual.html) for more information about how it works.\n\nIf you're looking to use slang as a library, please read through the [developer guide](https://sv-lang.com/developer-guide.html).\n\n### Try It Out\n\nExperiment with parsing, type checking, and error detection live [on the web](https://sv-lang.com/explore/) (inspired by Matt Godbolt's excellent [Compiler Explorer](https://godbolt.org/)).\n\n### Python Bindings\n\nThis project also includes Python bindings for the library, which can be installed via PyPI:\n```\npip install pyslang\n```\nor, to update your installed version to the latest release:\n```\npip install -U pyslang\n```\nor, to checkout and install a local build:\n```\ngit clone https://github.com/MikePopoloski/slang.git\ncd slang\npip install .\n```\n\n#### Example Python Usage\n\nGiven a 'test.sv' source file:\n```sv\nmodule memory(\n    address,\n    data_in,\n    data_out,\n    read_write,\n    chip_en\n  );\n\n  input wire [7:0] address, data_in;\n  output reg [7:0] data_out;\n  input wire read_write, chip_en;\n\n  reg [7:0] mem [0:255];\n\n  always @ (address or data_in or read_write or chip_en)\n    if (read_write == 1 && chip_en == 1) begin\n      mem[address] = data_in;\n  end\n\n  always @ (read_write or chip_en or address)\n    if (read_write == 0 && chip_en)\n      data_out = mem[address];\n    else\n      data_out = 0;\n\nendmodule\n```\n\nWe can use slang to load the syntax tree and inspect it:\n```py\nimport pyslang\n\ntree = pyslang.SyntaxTree.fromFile('test.sv')\nmod = tree.root.members[0]\nprint(mod.header.name.value)\nprint(mod.members[0].kind)\nprint(mod.members[1].header.dataType)\n```\n\n```\nmemory\nSyntaxKind.PortDeclaration\nreg [7:0]\n```\n\nWe can also evaluate arbitrary SystemVerilog expressions:\n```py\nsession = pyslang.ScriptSession()\nsession.eval(\"logic bit_arr [16] = '{0:1, 1:1, 2:1, default:0};\")\nresult = session.eval(\"bit_arr.sum with ( int'(item) );\")\nprint(result)\n```\n\n```\n3\n```\n\n### Contact & Support\n\nIf you encounter a bug, have questions, or want to contribute, please get in touch by opening a GitHub issue or discussion thread.\n\nContributions are welcome, whether they be in the form of bug reports, comments, suggestions, documentation improvements, or full fledged new features via pull requests.\n\n### License\n\nslang is licensed under the MIT license:\n\n>   Copyright (c) 2015-2025 Michael Popoloski\n>\n>   Permission is hereby granted, free of charge, to any person obtaining a copy\n>   of this software and associated documentation files (the \"Software\"), to deal\n>   in the Software without restriction, including without limitation the rights\n>   to use, copy, modify, merge, publish, distribute, sublicense, and/or sell\n>   copies of the Software, and to permit persons to whom the Software is\n>   furnished to do so, subject to the following conditions:\n>\n>   The above copyright notice and this permission notice shall be included in\n>   all copies or substantial portions of the Software.\n>\n>   THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n>   IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n>   FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE\n>   AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n>   LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,\n>   OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN\n>   THE SOFTWARE.\n",
    "bugtrack_url": null,
    "license": "Copyright (c) 2015-2025 Michael Popoloski\n         \n         Permission is hereby granted, free of charge, to any person obtaining a copy\n         of this software and associated documentation files (the \"Software\"), to deal\n         in the Software without restriction, including without limitation the rights\n         to use, copy, modify, merge, publish, distribute, sublicense, and/or sell\n         copies of the Software, and to permit persons to whom the Software is\n         furnished to do so, subject to the following conditions:\n         \n         The above copyright notice and this permission notice shall be included in\n         all copies or substantial portions of the Software.\n         \n         THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n         IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n         FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE\n         AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n         LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,\n         OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN\n         THE SOFTWARE.\n         ",
    "summary": "Python bindings for slang, a library for compiling SystemVerilog",
    "version": "9.0.0",
    "project_urls": {
        "Changelog": "https://github.com/MikePopoloski/slang/blob/master/CHANGELOG.md",
        "Documentation": "https://sv-lang.com/pyslang/",
        "Homepage": "https://sv-lang.com/",
        "Issues": "https://github.com/MikePopoloski/slang/issues",
        "Repository": "https://github.com/MikePopoloski/slang"
    },
    "split_keywords": [
        "slang",
        " verilog",
        " systemverilog",
        " parsing",
        " compiler",
        " eda"
    ],
    "urls": [
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "a5adab38b02e7afa04fd768f932d38cc350ab6d697d17319c5c19b9835c49359",
                "md5": "c9e5f77e30e5f21b72f89308f1a28629",
                "sha256": "ff84459dd4df7b552d6df43d013733762032d24f4b2324095d3f69d39ae2ee9c"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp310-cp310-macosx_11_0_arm64.whl",
            "has_sig": false,
            "md5_digest": "c9e5f77e30e5f21b72f89308f1a28629",
            "packagetype": "bdist_wheel",
            "python_version": "cp310",
            "requires_python": null,
            "size": 3121083,
            "upload_time": "2025-07-31T12:18:03",
            "upload_time_iso_8601": "2025-07-31T12:18:03.141403Z",
            "url": "https://files.pythonhosted.org/packages/a5/ad/ab38b02e7afa04fd768f932d38cc350ab6d697d17319c5c19b9835c49359/pyslang-9.0.0-cp310-cp310-macosx_11_0_arm64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "7429e19c2bb523906c564f3ffcc9c99ac8cbbecdebd9c21006c76c5ea889a848",
                "md5": "4bd289de7b510346cc05e2e92a4dcb53",
                "sha256": "1676da79d68e5029e94ee5189b2ac56b31a970d750711dba5d76cf6a7327fca1"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp310-cp310-macosx_11_0_universal2.whl",
            "has_sig": false,
            "md5_digest": "4bd289de7b510346cc05e2e92a4dcb53",
            "packagetype": "bdist_wheel",
            "python_version": "cp310",
            "requires_python": null,
            "size": 6483464,
            "upload_time": "2025-07-31T12:18:05",
            "upload_time_iso_8601": "2025-07-31T12:18:05.093774Z",
            "url": "https://files.pythonhosted.org/packages/74/29/e19c2bb523906c564f3ffcc9c99ac8cbbecdebd9c21006c76c5ea889a848/pyslang-9.0.0-cp310-cp310-macosx_11_0_universal2.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "5b01d36c69befe60cc031212686d3973ffc0a8affcfc9f315ddef7a425a3de5e",
                "md5": "89a2c9f77b46e52e12966f105caa09a5",
                "sha256": "d62e0bb30c647aa96110738535962d8b5a599a64342ef770bfa751bf7b73ceca"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp310-cp310-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "has_sig": false,
            "md5_digest": "89a2c9f77b46e52e12966f105caa09a5",
            "packagetype": "bdist_wheel",
            "python_version": "cp310",
            "requires_python": null,
            "size": 3862745,
            "upload_time": "2025-07-31T12:18:07",
            "upload_time_iso_8601": "2025-07-31T12:18:07.751381Z",
            "url": "https://files.pythonhosted.org/packages/5b/01/d36c69befe60cc031212686d3973ffc0a8affcfc9f315ddef7a425a3de5e/pyslang-9.0.0-cp310-cp310-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "efd1e6d9fe9bafd3235152c905d67d3c5577d9bc483386f7c7c65b0edd86b316",
                "md5": "92a8a441db987f3999740edc070a2831",
                "sha256": "cd9907b509dffb445ff6123e43226968410c0f04f5fb51dfff7c48d5b804c0ca"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp310-cp310-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "has_sig": false,
            "md5_digest": "92a8a441db987f3999740edc070a2831",
            "packagetype": "bdist_wheel",
            "python_version": "cp310",
            "requires_python": null,
            "size": 4345091,
            "upload_time": "2025-07-31T12:18:09",
            "upload_time_iso_8601": "2025-07-31T12:18:09.208097Z",
            "url": "https://files.pythonhosted.org/packages/ef/d1/e6d9fe9bafd3235152c905d67d3c5577d9bc483386f7c7c65b0edd86b316/pyslang-9.0.0-cp310-cp310-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "649477554c6ed97a03c31cc330a8fbbe2fafe81f13999fe3c7a3ac4f088f7fc6",
                "md5": "54b7c77ac9330e90387ffa8f4dd284f3",
                "sha256": "c6c2eb88162472d71b3a92d2dc922c62116a0c5447c3fd02effc193f7f8e26e6"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp310-cp310-win_amd64.whl",
            "has_sig": false,
            "md5_digest": "54b7c77ac9330e90387ffa8f4dd284f3",
            "packagetype": "bdist_wheel",
            "python_version": "cp310",
            "requires_python": null,
            "size": 2606964,
            "upload_time": "2025-07-31T12:18:11",
            "upload_time_iso_8601": "2025-07-31T12:18:11.782119Z",
            "url": "https://files.pythonhosted.org/packages/64/94/77554c6ed97a03c31cc330a8fbbe2fafe81f13999fe3c7a3ac4f088f7fc6/pyslang-9.0.0-cp310-cp310-win_amd64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "cb96fde0eeba1823d0f902942a48a8d04cc330d9091482687bd4dff606e94610",
                "md5": "f1a379e42fef116db99cc4b49324c164",
                "sha256": "48786b38be7d8c9bf2b391513fc91c868d460a787ddec59ae024ff8a76af21da"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp311-cp311-macosx_11_0_arm64.whl",
            "has_sig": false,
            "md5_digest": "f1a379e42fef116db99cc4b49324c164",
            "packagetype": "bdist_wheel",
            "python_version": "cp311",
            "requires_python": null,
            "size": 3121682,
            "upload_time": "2025-07-31T12:18:13",
            "upload_time_iso_8601": "2025-07-31T12:18:13.147894Z",
            "url": "https://files.pythonhosted.org/packages/cb/96/fde0eeba1823d0f902942a48a8d04cc330d9091482687bd4dff606e94610/pyslang-9.0.0-cp311-cp311-macosx_11_0_arm64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "c7f4567ace5a485f2996e772aa9713ad615f4311f64ed62c245c7853b2dd596d",
                "md5": "6fdb73481549f0597b98866f922b9a6a",
                "sha256": "b1c017958d0e74dc268c333833932b6aa7a242d894fa16f48f99528bba3da0d6"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp311-cp311-macosx_11_0_universal2.whl",
            "has_sig": false,
            "md5_digest": "6fdb73481549f0597b98866f922b9a6a",
            "packagetype": "bdist_wheel",
            "python_version": "cp311",
            "requires_python": null,
            "size": 6487310,
            "upload_time": "2025-07-31T12:18:14",
            "upload_time_iso_8601": "2025-07-31T12:18:14.703681Z",
            "url": "https://files.pythonhosted.org/packages/c7/f4/567ace5a485f2996e772aa9713ad615f4311f64ed62c245c7853b2dd596d/pyslang-9.0.0-cp311-cp311-macosx_11_0_universal2.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "c198dbbff9f2d20c34842d132bc76ff831bda6ed18a520962a8ae91f338c2dab",
                "md5": "d28cd4071a4a6c3d10790fd94394866b",
                "sha256": "95f717c86a3a96b945e9d6c8d670ce2533dc3e8dc0435cbe39db995d776bd479"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp311-cp311-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "has_sig": false,
            "md5_digest": "d28cd4071a4a6c3d10790fd94394866b",
            "packagetype": "bdist_wheel",
            "python_version": "cp311",
            "requires_python": null,
            "size": 3862872,
            "upload_time": "2025-07-31T12:18:16",
            "upload_time_iso_8601": "2025-07-31T12:18:16.654458Z",
            "url": "https://files.pythonhosted.org/packages/c1/98/dbbff9f2d20c34842d132bc76ff831bda6ed18a520962a8ae91f338c2dab/pyslang-9.0.0-cp311-cp311-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "fe5cb5b7ddd94a93c3bcf3c365dc9235364b7ec5e48370bbac51e119d90b1d6e",
                "md5": "05288d8e575353a9fb73506c9a3dbf4a",
                "sha256": "4a1cf0d473d98bb835cec4b2e6cf56397e8cc209c358f7fd208fd6efbbc48391"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp311-cp311-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "has_sig": false,
            "md5_digest": "05288d8e575353a9fb73506c9a3dbf4a",
            "packagetype": "bdist_wheel",
            "python_version": "cp311",
            "requires_python": null,
            "size": 4346054,
            "upload_time": "2025-07-31T12:18:18",
            "upload_time_iso_8601": "2025-07-31T12:18:18.391039Z",
            "url": "https://files.pythonhosted.org/packages/fe/5c/b5b7ddd94a93c3bcf3c365dc9235364b7ec5e48370bbac51e119d90b1d6e/pyslang-9.0.0-cp311-cp311-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "97d8cf918cf1e288178f5fd1964a42c63cb2ff63304737f66cba252478f41f46",
                "md5": "049241836e2f64c908dc411485db30e8",
                "sha256": "2625ba21d3dd23aa6994eeb3880132dd8fc758b18c3c19993522c6c2cb875c7a"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp311-cp311-win_amd64.whl",
            "has_sig": false,
            "md5_digest": "049241836e2f64c908dc411485db30e8",
            "packagetype": "bdist_wheel",
            "python_version": "cp311",
            "requires_python": null,
            "size": 2608609,
            "upload_time": "2025-07-31T12:18:20",
            "upload_time_iso_8601": "2025-07-31T12:18:20.362328Z",
            "url": "https://files.pythonhosted.org/packages/97/d8/cf918cf1e288178f5fd1964a42c63cb2ff63304737f66cba252478f41f46/pyslang-9.0.0-cp311-cp311-win_amd64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "2fb44d2eaa472e2dfeb33ba010eb45cfe63c62ecf3c7699ca3120a9f7e6c2f11",
                "md5": "45b1b55d84633868afb11cd12fa2876b",
                "sha256": "2014a0610d86292fb610a297d18f7e23553f6c130dfe43b17e4753401e49df2e"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp312-cp312-macosx_11_0_arm64.whl",
            "has_sig": false,
            "md5_digest": "45b1b55d84633868afb11cd12fa2876b",
            "packagetype": "bdist_wheel",
            "python_version": "cp312",
            "requires_python": null,
            "size": 3149565,
            "upload_time": "2025-07-31T12:18:21",
            "upload_time_iso_8601": "2025-07-31T12:18:21.754629Z",
            "url": "https://files.pythonhosted.org/packages/2f/b4/4d2eaa472e2dfeb33ba010eb45cfe63c62ecf3c7699ca3120a9f7e6c2f11/pyslang-9.0.0-cp312-cp312-macosx_11_0_arm64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "a5c3f8d16bed822b574401abf2d9a9fa58f420f7094c220d05ec4301824e7bf0",
                "md5": "c61fc2f1796c3cd5860cb2e08864c342",
                "sha256": "0cfcf1ee282d40ea597ca5bd9ed272c2ca2aea20c10c2996da9cfd79393a58f7"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp312-cp312-macosx_11_0_universal2.whl",
            "has_sig": false,
            "md5_digest": "c61fc2f1796c3cd5860cb2e08864c342",
            "packagetype": "bdist_wheel",
            "python_version": "cp312",
            "requires_python": null,
            "size": 6581955,
            "upload_time": "2025-07-31T12:18:25",
            "upload_time_iso_8601": "2025-07-31T12:18:25.478033Z",
            "url": "https://files.pythonhosted.org/packages/a5/c3/f8d16bed822b574401abf2d9a9fa58f420f7094c220d05ec4301824e7bf0/pyslang-9.0.0-cp312-cp312-macosx_11_0_universal2.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "cdd8cfd02d389b39b4e3fe1e21041da3df2b2b61b4976ffc444fafd2c10e6f37",
                "md5": "ce79d83caee02228e99cc1939ce7ca3a",
                "sha256": "ccde9341c0a327be3b5fac7f853e08c034dba862f91d4f1f8f1d7e0a2215ab36"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp312-cp312-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "has_sig": false,
            "md5_digest": "ce79d83caee02228e99cc1939ce7ca3a",
            "packagetype": "bdist_wheel",
            "python_version": "cp312",
            "requires_python": null,
            "size": 3862049,
            "upload_time": "2025-07-31T12:18:27",
            "upload_time_iso_8601": "2025-07-31T12:18:27.163107Z",
            "url": "https://files.pythonhosted.org/packages/cd/d8/cfd02d389b39b4e3fe1e21041da3df2b2b61b4976ffc444fafd2c10e6f37/pyslang-9.0.0-cp312-cp312-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "8563e18bd7a7bfbd16714c1ef669094a7a2d8d20ba162a2e9eb23b0df6425f7c",
                "md5": "b600701dc67a6b237baf918c5bb4eda0",
                "sha256": "cab13abfa0cd7f88f95c1998d5c7e1c605d1115e2b0af7cbb77a43d7fe35d24c"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp312-cp312-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "has_sig": false,
            "md5_digest": "b600701dc67a6b237baf918c5bb4eda0",
            "packagetype": "bdist_wheel",
            "python_version": "cp312",
            "requires_python": null,
            "size": 4342043,
            "upload_time": "2025-07-31T12:18:28",
            "upload_time_iso_8601": "2025-07-31T12:18:28.571450Z",
            "url": "https://files.pythonhosted.org/packages/85/63/e18bd7a7bfbd16714c1ef669094a7a2d8d20ba162a2e9eb23b0df6425f7c/pyslang-9.0.0-cp312-cp312-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "8f7da3fe06776be5609d580d12e91969350a50f0558bce81a123d79461fddb6f",
                "md5": "84c155c364afa1f730037eec8c87cf2c",
                "sha256": "58b2e6907ec96d37609dd6aa78e73fd1cf91e6e121b94a6602c958d44a0d9415"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp312-cp312-win_amd64.whl",
            "has_sig": false,
            "md5_digest": "84c155c364afa1f730037eec8c87cf2c",
            "packagetype": "bdist_wheel",
            "python_version": "cp312",
            "requires_python": null,
            "size": 2617302,
            "upload_time": "2025-07-31T12:18:30",
            "upload_time_iso_8601": "2025-07-31T12:18:30.284407Z",
            "url": "https://files.pythonhosted.org/packages/8f/7d/a3fe06776be5609d580d12e91969350a50f0558bce81a123d79461fddb6f/pyslang-9.0.0-cp312-cp312-win_amd64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "e80714f0382b4651a0ba78c428c69a90e2b2efe3cd58db7b8a807b083d64b34e",
                "md5": "350b552b77cc42f790ebbd907e465f34",
                "sha256": "47277eb8a2f2f952710053716dd2b222f17c79a8cf97eb9e482fee3a7aa14dff"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp313-cp313-macosx_11_0_arm64.whl",
            "has_sig": false,
            "md5_digest": "350b552b77cc42f790ebbd907e465f34",
            "packagetype": "bdist_wheel",
            "python_version": "cp313",
            "requires_python": null,
            "size": 3149694,
            "upload_time": "2025-07-31T12:18:32",
            "upload_time_iso_8601": "2025-07-31T12:18:32.097215Z",
            "url": "https://files.pythonhosted.org/packages/e8/07/14f0382b4651a0ba78c428c69a90e2b2efe3cd58db7b8a807b083d64b34e/pyslang-9.0.0-cp313-cp313-macosx_11_0_arm64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "e54d04769ac53e5d2db53433f4de3d5644109969ebb7d704940ef1fbf0e44b5f",
                "md5": "290ccf8cb81f6ed986e3070f25152df4",
                "sha256": "7eaa9efe0b1c0ebf30525a8c46b4ed9a53192a1ec6cd44a463a00635c7c755d5"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp313-cp313-macosx_11_0_universal2.whl",
            "has_sig": false,
            "md5_digest": "290ccf8cb81f6ed986e3070f25152df4",
            "packagetype": "bdist_wheel",
            "python_version": "cp313",
            "requires_python": null,
            "size": 6582082,
            "upload_time": "2025-07-31T12:18:33",
            "upload_time_iso_8601": "2025-07-31T12:18:33.883708Z",
            "url": "https://files.pythonhosted.org/packages/e5/4d/04769ac53e5d2db53433f4de3d5644109969ebb7d704940ef1fbf0e44b5f/pyslang-9.0.0-cp313-cp313-macosx_11_0_universal2.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "861656831a4dc9b54219730857822b85b8071f7dcae513dea74bd8496a0674af",
                "md5": "d9c5333d963de4b334251b8dc6f85461",
                "sha256": "6b552eab478d7c958e30c3c06ffc170c12455c746b016b44cf556aad14c88396"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp313-cp313-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "has_sig": false,
            "md5_digest": "d9c5333d963de4b334251b8dc6f85461",
            "packagetype": "bdist_wheel",
            "python_version": "cp313",
            "requires_python": null,
            "size": 3861793,
            "upload_time": "2025-07-31T12:18:35",
            "upload_time_iso_8601": "2025-07-31T12:18:35.364264Z",
            "url": "https://files.pythonhosted.org/packages/86/16/56831a4dc9b54219730857822b85b8071f7dcae513dea74bd8496a0674af/pyslang-9.0.0-cp313-cp313-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "a2ce01e856eaa9a9ec6fc921171a5af4403a45ac7f5628c4576f2b8eaa0f6b33",
                "md5": "518b7b8e927b949625b5ea12c29e5a6a",
                "sha256": "538971f9ce3786dee3a1cc9a3ef0eb7708a16ce41d1b789308dadd1b969b8ec1"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp313-cp313-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "has_sig": false,
            "md5_digest": "518b7b8e927b949625b5ea12c29e5a6a",
            "packagetype": "bdist_wheel",
            "python_version": "cp313",
            "requires_python": null,
            "size": 4340725,
            "upload_time": "2025-07-31T12:18:36",
            "upload_time_iso_8601": "2025-07-31T12:18:36.827580Z",
            "url": "https://files.pythonhosted.org/packages/a2/ce/01e856eaa9a9ec6fc921171a5af4403a45ac7f5628c4576f2b8eaa0f6b33/pyslang-9.0.0-cp313-cp313-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "48f0e174c920f6b3d9c783c83485352ecdc070ad750d699e88348bf906fb28a9",
                "md5": "de40831c7e1b072195e94912a1231b80",
                "sha256": "e1f45780141a0f742f534892b8caafe376e94413d4bd964eb0e005e76fdfc0f3"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp313-cp313-win_amd64.whl",
            "has_sig": false,
            "md5_digest": "de40831c7e1b072195e94912a1231b80",
            "packagetype": "bdist_wheel",
            "python_version": "cp313",
            "requires_python": null,
            "size": 2617366,
            "upload_time": "2025-07-31T12:18:38",
            "upload_time_iso_8601": "2025-07-31T12:18:38.750154Z",
            "url": "https://files.pythonhosted.org/packages/48/f0/e174c920f6b3d9c783c83485352ecdc070ad750d699e88348bf906fb28a9/pyslang-9.0.0-cp313-cp313-win_amd64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "2d76023949d0d65850aecce488c707f45c588ebc0a9f49a2002d37cbb01ad0cf",
                "md5": "f838874664cdc79936a837f43023fb08",
                "sha256": "87a0e2aa5984e8990c84d9cf59106a330a23278a7c862aab26a8ab97a1206086"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp39-cp39-macosx_11_0_arm64.whl",
            "has_sig": false,
            "md5_digest": "f838874664cdc79936a837f43023fb08",
            "packagetype": "bdist_wheel",
            "python_version": "cp39",
            "requires_python": null,
            "size": 3120675,
            "upload_time": "2025-07-31T12:18:40",
            "upload_time_iso_8601": "2025-07-31T12:18:40.266047Z",
            "url": "https://files.pythonhosted.org/packages/2d/76/023949d0d65850aecce488c707f45c588ebc0a9f49a2002d37cbb01ad0cf/pyslang-9.0.0-cp39-cp39-macosx_11_0_arm64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "975f504e3fed8a40df69c2312b9b74abf5f1ca30ab27e3064164a79404737873",
                "md5": "3b53407165895a38af8fd21500603d47",
                "sha256": "90b72513a9955cdbd19ed735ba671dffd7f748c8d21469378e89b5b80dd12b55"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp39-cp39-macosx_11_0_universal2.whl",
            "has_sig": false,
            "md5_digest": "3b53407165895a38af8fd21500603d47",
            "packagetype": "bdist_wheel",
            "python_version": "cp39",
            "requires_python": null,
            "size": 6483494,
            "upload_time": "2025-07-31T12:18:41",
            "upload_time_iso_8601": "2025-07-31T12:18:41.843554Z",
            "url": "https://files.pythonhosted.org/packages/97/5f/504e3fed8a40df69c2312b9b74abf5f1ca30ab27e3064164a79404737873/pyslang-9.0.0-cp39-cp39-macosx_11_0_universal2.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "8a6673370c997d4d620eb04e19211b25a41a99863c266b03c57d5a58df457bac",
                "md5": "c447904d9b721398290cd5faeb12adb0",
                "sha256": "b898b61e2c9a49ad73e67328ea0eb90dc88de5b558b9ca5fc11e79ec32542a8a"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp39-cp39-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "has_sig": false,
            "md5_digest": "c447904d9b721398290cd5faeb12adb0",
            "packagetype": "bdist_wheel",
            "python_version": "cp39",
            "requires_python": null,
            "size": 3862927,
            "upload_time": "2025-07-31T12:18:43",
            "upload_time_iso_8601": "2025-07-31T12:18:43.400892Z",
            "url": "https://files.pythonhosted.org/packages/8a/66/73370c997d4d620eb04e19211b25a41a99863c266b03c57d5a58df457bac/pyslang-9.0.0-cp39-cp39-manylinux_2_27_aarch64.manylinux_2_28_aarch64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "c83d20a410b3ef90bb61927fca86c9dd3ceab5ef969c55014efd7a5e199882b0",
                "md5": "fccb0c3ef813ba9098cd46c89f0c1ef0",
                "sha256": "0fedcef3a27af692294e565ed7af56fdbc321cf15a05c1f0378ea0d590808a61"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp39-cp39-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "has_sig": false,
            "md5_digest": "fccb0c3ef813ba9098cd46c89f0c1ef0",
            "packagetype": "bdist_wheel",
            "python_version": "cp39",
            "requires_python": null,
            "size": 4345615,
            "upload_time": "2025-07-31T12:18:45",
            "upload_time_iso_8601": "2025-07-31T12:18:45.323414Z",
            "url": "https://files.pythonhosted.org/packages/c8/3d/20a410b3ef90bb61927fca86c9dd3ceab5ef969c55014efd7a5e199882b0/pyslang-9.0.0-cp39-cp39-manylinux_2_27_x86_64.manylinux_2_28_x86_64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "7f9a6a4ce75aa0cff62f7e3f97f7518889b0824e758d52ffc3fd91d66c104b32",
                "md5": "af71843931e4481262498007f0128e9f",
                "sha256": "1f6b630e9045cfd29f7d1251e1e181edc7957c1261fbae36cdbb994f95458aa2"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0-cp39-cp39-win_amd64.whl",
            "has_sig": false,
            "md5_digest": "af71843931e4481262498007f0128e9f",
            "packagetype": "bdist_wheel",
            "python_version": "cp39",
            "requires_python": null,
            "size": 2890713,
            "upload_time": "2025-07-31T12:18:46",
            "upload_time_iso_8601": "2025-07-31T12:18:46.775600Z",
            "url": "https://files.pythonhosted.org/packages/7f/9a/6a4ce75aa0cff62f7e3f97f7518889b0824e758d52ffc3fd91d66c104b32/pyslang-9.0.0-cp39-cp39-win_amd64.whl",
            "yanked": false,
            "yanked_reason": null
        },
        {
            "comment_text": null,
            "digests": {
                "blake2b_256": "6e2d2ba247a801140425a5eeab806ee6a2b65bf0a8ccaff9205a69ba2fa7aea2",
                "md5": "0b6eb6b9f9c14fc24830fcdfa906f415",
                "sha256": "ba8d85158ef009c38d0e8b5275c084e693824d94d0d147caf1cbe30dd3789b02"
            },
            "downloads": -1,
            "filename": "pyslang-9.0.0.tar.gz",
            "has_sig": false,
            "md5_digest": "0b6eb6b9f9c14fc24830fcdfa906f415",
            "packagetype": "sdist",
            "python_version": "source",
            "requires_python": null,
            "size": 1679294,
            "upload_time": "2025-07-31T12:18:48",
            "upload_time_iso_8601": "2025-07-31T12:18:48.329077Z",
            "url": "https://files.pythonhosted.org/packages/6e/2d/2ba247a801140425a5eeab806ee6a2b65bf0a8ccaff9205a69ba2fa7aea2/pyslang-9.0.0.tar.gz",
            "yanked": false,
            "yanked_reason": null
        }
    ],
    "upload_time": "2025-07-31 12:18:48",
    "github": true,
    "gitlab": false,
    "bitbucket": false,
    "codeberg": false,
    "github_user": "MikePopoloski",
    "github_project": "slang",
    "travis_ci": false,
    "coveralls": false,
    "github_actions": true,
    "lcname": "pyslang"
}
        
Elapsed time: 2.58584s