cocotb-vivado


Namecocotb-vivado JSON
Version 0.0.3 PyPI version JSON
download
home_pagehttps://github.com/themperek/cocotb-vivado
SummaryLimited cocotb/Python interface for Xilinx Vivado Simulator
upload_time2024-02-12 10:28:27
maintainer
docs_urlNone
authorTomasz Hemperek
requires_python
licenseApache 2.0
keywords systemverilog verilog rtl cocotb python vivado xilinx xsim xsi
VCS
bugtrack_url
requirements No requirements were recorded.
Travis-CI No Travis.
coveralls test coverage No coveralls.
            # cocotb-vivado
[![PyPI version](https://badge.fury.io/py/cocotb-vivado.svg)](https://pypi.org/project/cocotb-vivado/)

A limited Python/[cocotb](https://github.com/cocotb/cocotb/) interface to the [Xilinx Vivado Simulator](https://docs.xilinx.com/v/u/en-US/dh0010-vivado-simulation-hub) simulator. 
Based on [cocotb-stub-sim](https://github.com/fvutils/cocotb-stub-sim).

## The project is at a proof of concept stage

- Only top-level ports are accessible.
- It supports the `Timer` trigger.
- Setting signal values is immediate, as one would use `setimmediatevalue`. 
- Only `Verilog` at the top level is supported (to do).

## Installation

```cmd
pip install cocotb-vivado
```

## Usage

See the `tests` folder for examples.

```cmd
source ../Vivado/202X.X/settings64.sh
export LD_LIBRARY_PATH=$XILINX_VIVADO/lib/lnx64.o
pytest -s
```

### Acknowledgment

We'd like to thank our employer, [Dectris](https://dectris.com/) for supporting this work.

            

Raw data

            {
    "_id": null,
    "home_page": "https://github.com/themperek/cocotb-vivado",
    "name": "cocotb-vivado",
    "maintainer": "",
    "docs_url": null,
    "requires_python": "",
    "maintainer_email": "",
    "keywords": "SystemVerilog,Verilog,RTL,cocotb,Python,Vivado,Xilinx,xsim,xsi",
    "author": "Tomasz Hemperek",
    "author_email": "themperek@gmail.com",
    "download_url": "https://files.pythonhosted.org/packages/e9/56/384f70d3a55a1ddc4011dd1b4ccbebb1c24f837d3c5d85957063f8651606/cocotb-vivado-0.0.3.tar.gz",
    "platform": "any",
    "description": "# cocotb-vivado\n[![PyPI version](https://badge.fury.io/py/cocotb-vivado.svg)](https://pypi.org/project/cocotb-vivado/)\n\nA limited Python/[cocotb](https://github.com/cocotb/cocotb/) interface to the [Xilinx Vivado Simulator](https://docs.xilinx.com/v/u/en-US/dh0010-vivado-simulation-hub) simulator. \nBased on [cocotb-stub-sim](https://github.com/fvutils/cocotb-stub-sim).\n\n## The project is at a proof of concept stage\n\n- Only top-level ports are accessible.\n- It supports the `Timer` trigger.\n- Setting signal values is immediate, as one would use `setimmediatevalue`. \n- Only `Verilog` at the top level is supported (to do).\n\n## Installation\n\n```cmd\npip install cocotb-vivado\n```\n\n## Usage\n\nSee the `tests` folder for examples.\n\n```cmd\nsource ../Vivado/202X.X/settings64.sh\nexport LD_LIBRARY_PATH=$XILINX_VIVADO/lib/lnx64.o\npytest -s\n```\n\n### Acknowledgment\n\nWe'd like to thank our employer, [Dectris](https://dectris.com/) for supporting this work.\n",
    "bugtrack_url": null,
    "license": "Apache 2.0",
    "summary": "Limited cocotb/Python interface for Xilinx Vivado Simulator",
    "version": "0.0.3",
    "project_urls": {
        "Homepage": "https://github.com/themperek/cocotb-vivado"
    },
    "split_keywords": [
        "systemverilog",
        "verilog",
        "rtl",
        "cocotb",
        "python",
        "vivado",
        "xilinx",
        "xsim",
        "xsi"
    ],
    "urls": [
        {
            "comment_text": "",
            "digests": {
                "blake2b_256": "e956384f70d3a55a1ddc4011dd1b4ccbebb1c24f837d3c5d85957063f8651606",
                "md5": "bb87d0cfc22af3d6dbbb6de7975756f4",
                "sha256": "48bf5b8846bac5f11c0669a81ab74894904d3c773873868be02ec8cac18d6899"
            },
            "downloads": -1,
            "filename": "cocotb-vivado-0.0.3.tar.gz",
            "has_sig": false,
            "md5_digest": "bb87d0cfc22af3d6dbbb6de7975756f4",
            "packagetype": "sdist",
            "python_version": "source",
            "requires_python": null,
            "size": 12340,
            "upload_time": "2024-02-12T10:28:27",
            "upload_time_iso_8601": "2024-02-12T10:28:27.001208Z",
            "url": "https://files.pythonhosted.org/packages/e9/56/384f70d3a55a1ddc4011dd1b4ccbebb1c24f837d3c5d85957063f8651606/cocotb-vivado-0.0.3.tar.gz",
            "yanked": false,
            "yanked_reason": null
        }
    ],
    "upload_time": "2024-02-12 10:28:27",
    "github": true,
    "gitlab": false,
    "bitbucket": false,
    "codeberg": false,
    "github_user": "themperek",
    "github_project": "cocotb-vivado",
    "travis_ci": false,
    "coveralls": false,
    "github_actions": false,
    "lcname": "cocotb-vivado"
}
        
Elapsed time: 0.18074s