fusesoc


Namefusesoc JSON
Version 2.2.1 PyPI version JSON
download
home_pagehttps://github.com/olofk/fusesoc
SummaryFuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.
upload_time2023-04-24 13:27:02
maintainer
docs_urlNone
authorOlof Kindgren
requires_python>=3.6, <4
licenseBSD-2-Clause
keywords vhdl verilog hdl rtl synthesis fpga simulation xilinx altera
VCS
bugtrack_url
requirements No requirements were recorded.
Travis-CI No Travis.
coveralls test coverage No coveralls.
            # FuseSoC

[![CI status](https://github.com/olofk/fusesoc/workflows/CI/badge.svg)](https://github.com/olofk/fusesoc/actions?query=workflow%3ACI)
[![image](https://img.shields.io/pypi/dm/fusesoc.svg?label=PyPI%20downloads)](https://pypi.org/project/fusesoc/)
[![LibreCores](https://www.librecores.org/olofk/FuseSoC/badge.svg?style=flat)](https://www.librecores.org/olofk/FuseSoC)

## Introduction

FuseSoC is an award-winning package manager and a set of build tools for
HDL (Hardware Description Language) code.

Its main purpose is to increase reuse of IP (Intellectual Property)
cores and be an aid for creating, building and simulating SoC solutions.

FuseSoC makes it easier to

-   reuse existing cores
-   create compile-time or run-time configurations
-   run regression tests against multiple simulators
-   port designs to new targets
-   let other projects use your code
-   set up continuous integration

To learn more about FuseSoC head over to the
[User Guide](https://fusesoc.readthedocs.io/en/stable/user).

## Getting started

### Installing the latest release

FuseSoC works on Linux, Windows, and macOS. It is written in Python and can be
installed like any other Python package through "pip". Please refer to the
full list of system requirements and installation instructions in the
[Installation section in the User Guide](https://fusesoc.readthedocs.io/en/stable/user/installation.html).

### Quick start

To check if FuseSoC is working, and to get an initial feeling for how FuseSoC
works, you can try to simulate a simple hardware design from our core libray.

First, create and enter an empty workspace

    mkdir workspace
    cd workspace

Install the FuseSoc base library into the workspace

    fusesoc library add fusesoc-cores https://github.com/fusesoc/fusesoc-cores

Get a list of cores found in the workspace

    fusesoc core list

If you have any of the supported simulators installed, you can try to
run a simulation on one of the cores as well. For example,
`fusesoc run --target=sim i2c` will run a regression test on the core
i2c with Icarus Verilog. If you want to try another simulator instead,
add e.g. `--tool=modelsim` or `--tool=xcelium` between `run` and `i2c`.

`fusesoc --help` will give you more information on commands and switches.

Did it work? Great! FuseSoC can be used to create FPGA images, perform
linting, manage your IP libraries or do formal verification as well.
Check out the [online documentation](https://fusesoc.readthedocs.io/en/stable/)
documentation to learn more about creating your own core files and using
existing ones. If it didn't work, please get in touch (see below).

## Next steps

A good way to get your first hands-on experience with FuseSoC is to
contribute to the [LED to Believe](https://github.com/fusesoc/blinky)
project. This project aims to used FuseSoC to blink a LED on every
available FPGA development board in existence. There are already around
40 different boards supported. If your board is already supported,
great, then you can run your first FuseSoC-based design. If it's not
supported, great, you now have the chance to add it to the list of
supported boards. Either way, head over to [LED to
Believe](https://github.com/fusesoc/blinky) to learn more and see how to
go from a blinking LED to running a RISC-V core on an FPGA.

## Need help?

FuseSoC comes with extensive
[online documentation](https://fusesoc.readthedocs.io/en/stable/index.html).

For quick communication with the active developers, feel free to join us at the
[FuseSoC chat](https://gitter.im/librecores/fusesoc).

If you have found an issue, or want to know more about currently known problems,
check out the
[issue tracker on GitHub](https://github.com/olofk/fusesoc/issues).

If you are looking for professional paid support, we are happy to
provide feature additions, bug fixes, user training, setting up core
libraries, migrating existing designs to FuseSoC and other things.
Please contact <olof.kindgren@gmail.com> for more information.

## Contributing to FuseSoC

FuseSoC is developed by an active and friendly community, and you're welcome to
join! You can read more about setting up a development environment in our
[Developer's Guide](https://fusesoc.readthedocs.io/en/latest/dev/index.html).

You can file bug reports and propose changes in the [olofk/fusesoc repository on GitHub](https://github.com/olofk/fusesoc).

## Further reading

* A Scalable Approach to IP Management with FuseSoC [paper](https://osda.gitlab.io/19/kindgren.pdf) and [slides](https://osda.gitlab.io/19/kindgren-slides.pdf) from OSDA 2019
* Antmicro blog post on [how to use FuseSoC as a linter](https://antmicro.com/blog/2020/04/systemverilog-linter-and-formatter-in-fusesoc/)
* [FuseSoC-related posts on the Tales from Beyond the Register Map blog](https://blog.award-winning.me/search/label/FuseSoC)
* [Presentation from RISC-V Week 2022](https://www.award-winning.me/fusesoc-rvweek22)
* [Presentation from Latch-Up Portland 2019](https://www.youtube.com/watch?v=7eWRAOK9mns)
* [Presentation from WOSH 2019](https://www.youtube.com/watch?v=HOFYplIBSWM)
* [Presentation from ORConf 2017](https://www.youtube.com/watch?v=iPpT9k_H67k)
* [Presentation from ORConf 2016](https://www.youtube.com/watch?v=pKlJWe_HKPM)

## License

FuseSoC is licensed under the permissive 2-clause BSD license, freely allowing
use, modification, and distribution of FuseSoC for all kinds of projects.
Please refer to the [LICENSE](LICENSE) file for details.

            

Raw data

            {
    "_id": null,
    "home_page": "https://github.com/olofk/fusesoc",
    "name": "fusesoc",
    "maintainer": "",
    "docs_url": null,
    "requires_python": ">=3.6, <4",
    "maintainer_email": "",
    "keywords": "VHDL,verilog,hdl,rtl,synthesis,FPGA,simulation,Xilinx,Altera",
    "author": "Olof Kindgren",
    "author_email": "olof.kindgren@gmail.com",
    "download_url": "https://files.pythonhosted.org/packages/fa/0b/f6e27d1ad64ed12b7f1f7bb081f83d3e9d2f704f385f0bea4d492c0f90c8/fusesoc-2.2.1.tar.gz",
    "platform": null,
    "description": "# FuseSoC\n\n[![CI status](https://github.com/olofk/fusesoc/workflows/CI/badge.svg)](https://github.com/olofk/fusesoc/actions?query=workflow%3ACI)\n[![image](https://img.shields.io/pypi/dm/fusesoc.svg?label=PyPI%20downloads)](https://pypi.org/project/fusesoc/)\n[![LibreCores](https://www.librecores.org/olofk/FuseSoC/badge.svg?style=flat)](https://www.librecores.org/olofk/FuseSoC)\n\n## Introduction\n\nFuseSoC is an award-winning package manager and a set of build tools for\nHDL (Hardware Description Language) code.\n\nIts main purpose is to increase reuse of IP (Intellectual Property)\ncores and be an aid for creating, building and simulating SoC solutions.\n\nFuseSoC makes it easier to\n\n-   reuse existing cores\n-   create compile-time or run-time configurations\n-   run regression tests against multiple simulators\n-   port designs to new targets\n-   let other projects use your code\n-   set up continuous integration\n\nTo learn more about FuseSoC head over to the\n[User Guide](https://fusesoc.readthedocs.io/en/stable/user).\n\n## Getting started\n\n### Installing the latest release\n\nFuseSoC works on Linux, Windows, and macOS. It is written in Python and can be\ninstalled like any other Python package through \"pip\". Please refer to the\nfull list of system requirements and installation instructions in the\n[Installation section in the User Guide](https://fusesoc.readthedocs.io/en/stable/user/installation.html).\n\n### Quick start\n\nTo check if FuseSoC is working, and to get an initial feeling for how FuseSoC\nworks, you can try to simulate a simple hardware design from our core libray.\n\nFirst, create and enter an empty workspace\n\n    mkdir workspace\n    cd workspace\n\nInstall the FuseSoc base library into the workspace\n\n    fusesoc library add fusesoc-cores https://github.com/fusesoc/fusesoc-cores\n\nGet a list of cores found in the workspace\n\n    fusesoc core list\n\nIf you have any of the supported simulators installed, you can try to\nrun a simulation on one of the cores as well. For example,\n`fusesoc run --target=sim i2c` will run a regression test on the core\ni2c with Icarus Verilog. If you want to try another simulator instead,\nadd e.g. `--tool=modelsim` or `--tool=xcelium` between `run` and `i2c`.\n\n`fusesoc --help` will give you more information on commands and switches.\n\nDid it work? Great! FuseSoC can be used to create FPGA images, perform\nlinting, manage your IP libraries or do formal verification as well.\nCheck out the [online documentation](https://fusesoc.readthedocs.io/en/stable/)\ndocumentation to learn more about creating your own core files and using\nexisting ones. If it didn't work, please get in touch (see below).\n\n## Next steps\n\nA good way to get your first hands-on experience with FuseSoC is to\ncontribute to the [LED to Believe](https://github.com/fusesoc/blinky)\nproject. This project aims to used FuseSoC to blink a LED on every\navailable FPGA development board in existence. There are already around\n40 different boards supported. If your board is already supported,\ngreat, then you can run your first FuseSoC-based design. If it's not\nsupported, great, you now have the chance to add it to the list of\nsupported boards. Either way, head over to [LED to\nBelieve](https://github.com/fusesoc/blinky) to learn more and see how to\ngo from a blinking LED to running a RISC-V core on an FPGA.\n\n## Need help?\n\nFuseSoC comes with extensive\n[online documentation](https://fusesoc.readthedocs.io/en/stable/index.html).\n\nFor quick communication with the active developers, feel free to join us at the\n[FuseSoC chat](https://gitter.im/librecores/fusesoc).\n\nIf you have found an issue, or want to know more about currently known problems,\ncheck out the\n[issue tracker on GitHub](https://github.com/olofk/fusesoc/issues).\n\nIf you are looking for professional paid support, we are happy to\nprovide feature additions, bug fixes, user training, setting up core\nlibraries, migrating existing designs to FuseSoC and other things.\nPlease contact <olof.kindgren@gmail.com> for more information.\n\n## Contributing to FuseSoC\n\nFuseSoC is developed by an active and friendly community, and you're welcome to\njoin! You can read more about setting up a development environment in our\n[Developer's Guide](https://fusesoc.readthedocs.io/en/latest/dev/index.html).\n\nYou can file bug reports and propose changes in the [olofk/fusesoc repository on GitHub](https://github.com/olofk/fusesoc).\n\n## Further reading\n\n* A Scalable Approach to IP Management with FuseSoC [paper](https://osda.gitlab.io/19/kindgren.pdf) and [slides](https://osda.gitlab.io/19/kindgren-slides.pdf) from OSDA 2019\n* Antmicro blog post on [how to use FuseSoC as a linter](https://antmicro.com/blog/2020/04/systemverilog-linter-and-formatter-in-fusesoc/)\n* [FuseSoC-related posts on the Tales from Beyond the Register Map blog](https://blog.award-winning.me/search/label/FuseSoC)\n* [Presentation from RISC-V Week 2022](https://www.award-winning.me/fusesoc-rvweek22)\n* [Presentation from Latch-Up Portland 2019](https://www.youtube.com/watch?v=7eWRAOK9mns)\n* [Presentation from WOSH 2019](https://www.youtube.com/watch?v=HOFYplIBSWM)\n* [Presentation from ORConf 2017](https://www.youtube.com/watch?v=iPpT9k_H67k)\n* [Presentation from ORConf 2016](https://www.youtube.com/watch?v=pKlJWe_HKPM)\n\n## License\n\nFuseSoC is licensed under the permissive 2-clause BSD license, freely allowing\nuse, modification, and distribution of FuseSoC for all kinds of projects.\nPlease refer to the [LICENSE](LICENSE) file for details.\n",
    "bugtrack_url": null,
    "license": "BSD-2-Clause",
    "summary": "FuseSoC is a package manager and a set of build tools for HDL (Hardware Description Language) code.",
    "version": "2.2.1",
    "split_keywords": [
        "vhdl",
        "verilog",
        "hdl",
        "rtl",
        "synthesis",
        "fpga",
        "simulation",
        "xilinx",
        "altera"
    ],
    "urls": [
        {
            "comment_text": "",
            "digests": {
                "blake2b_256": "fa0bf6e27d1ad64ed12b7f1f7bb081f83d3e9d2f704f385f0bea4d492c0f90c8",
                "md5": "8c7e1705a229fd9cc9fd3bad75344e98",
                "sha256": "337e9b5c1818f21477dc0543947a07f0f6491b6062d0a384234ec8327b3b478c"
            },
            "downloads": -1,
            "filename": "fusesoc-2.2.1.tar.gz",
            "has_sig": false,
            "md5_digest": "8c7e1705a229fd9cc9fd3bad75344e98",
            "packagetype": "sdist",
            "python_version": "source",
            "requires_python": ">=3.6, <4",
            "size": 113618,
            "upload_time": "2023-04-24T13:27:02",
            "upload_time_iso_8601": "2023-04-24T13:27:02.655879Z",
            "url": "https://files.pythonhosted.org/packages/fa/0b/f6e27d1ad64ed12b7f1f7bb081f83d3e9d2f704f385f0bea4d492c0f90c8/fusesoc-2.2.1.tar.gz",
            "yanked": false,
            "yanked_reason": null
        }
    ],
    "upload_time": "2023-04-24 13:27:02",
    "github": true,
    "gitlab": false,
    "bitbucket": false,
    "github_user": "olofk",
    "github_project": "fusesoc",
    "travis_ci": false,
    "coveralls": false,
    "github_actions": true,
    "tox": true,
    "lcname": "fusesoc"
}
        
Elapsed time: 0.05726s